Clock.addOnSynced

Signaled on clocks with GST_CLOCK_FLAG_NEEDS_STARTUP_SYNC set once the clock is synchronized, or when it completely lost synchronization. This signal will not be emitted on clocks without the flag.

This signal will be emitted from an arbitrary thread, most likely not the application's main thread.

class Clock
gulong
addOnSynced
(
void delegate
(
bool
,)
dlg
,
ConnectFlags connectFlags = cast(ConnectFlags)0
)

Meta